AMC: An Asynchronous Memory Compiler

Samira Ataei and Rajit Manohar

Asynchronous circuits design is limited by the lack of electronic design automation (EDA) tools, making it challenging to design digital systems that have high complexity. This restriction makes it almost impossible to prototype and compare new asynchronous designs with clocked counterparts. The availability of high quality EDA tools would significantly bolster research in asynchronous design.

This paper presents AMC: an open-source asynchronous pipelined memory compiler, that generates SRAM modules with a bundled-data datapath and quasi-delay-insensitive control. AMC is a flexible, user-modifiable and technology-independent memory compiler that generates fabricable SRAM blocks in a broad range of sizes, configurations and process nodes. AMC also produces memory designs that are competitive with both asynchronous and synchronous memories in the literature. AMC aims to reduce design turn-around time and amplify the research in the asynchronous design community.

 
  
Yale